About NSRRC / Staff Directory

X-ray Imaging Group


Name: 曾紹欽( Shao-Chin Tseng )
EXT.: 7370
E-mail: tseng.sc@nsrrc.org.tw
Education:
  • Ph.D. in Materials Science and Engineering, National Taiwan University, Taiwan
Experience:
  • Assistant Scientist, NSRRC
  • United Renewable Energy Co., Ltd (URE)
Endstation:
  • TPS-23A X-ray nanoprobe
Research Interests:
  • In-Situ X-ray Analysis Technology
  • Nano X-ray Absorption Spectrum
  • Nano Semiconductor Process and Analysis
  • Biomedical Optoelectronics Sensing
Selected Publications:
  • H. Y. Lin, C. K. Cheng, K. H. M. Chen, C. C. Tseng, S. W. Huang, M. T. Chang, S. C. Tseng*, M. Hong* and J. Kwo* “A New Stable, Crystalline Capping Material for Topological Insulators ” APL MATERIALS, 2018, 6, 066108
  • S. C. Tseng*, B. H. Lin, X. Y. Li, Y. S. Lai, P. H. Tseng, C. Y. Lee, B. Y. Chen, G. C. Yin, M. Y. Hsu, S. H. Chang, M. T. Tang “Exploiting the in-situ Electrical X-ray Microscopy for Semiconductor Nano Devices Analysis by X-ray Nanoprobe Beamline at Taiwan Photon Source” Microscopy and Microanalysis, 2018, Volume 24, Issue S2, pp. 430-431
  • S. C. Tseng, C. C. Yu, D. C. Lin, H. L. Chen, Y. C. Chen, S. Y. Chou, Y. C. Tseng, L. A. Wang, “Laser-Induced Jets of Nanoparticles: Exploiting Air Drag Forces to Select the Particle Size and Density of Nanoparticle Arrays” Nanoscale (SCI, IF=6.233), 2013, 5, 2421-2428
  • S. C. Tseng, C. C. Yu, D. Wan, H. L. Chen, L. A. Wang, M. C. Wu, W. F. Su, H. C. Han, L. C. Chen, “Eco-Friendly Plasmonic Sensors: Using the Photothermal Effect to Prepare Metal Nanoparticle–Containing Test Papers for Highly Sensitive Colorimetric Detection” Analytical Chemistry (SCI, IF=5.695), 2012, 84 (11), 5140-5145
  • S. C. Tseng, H. L. Chen, C. C. Yu, Y. S. Lai, H. W. Liu, “Using Intruded Gold Nanoclusters as Highly Active Catalysts to Fabricate Silicon Nanostalactite Structures Exhibiting Excellent Light Trapping and Field Emission Properties” Energy & Environmental Science (SCI, IF=11.65), 2011, 4, 5020-5027,(本論文並獲選為當期之內側封面Journal Inside Cover)
  • S. C. Tseng, H. L. Chen, H. W. Liu, C. C. Yu, L. A. Wang, Y. P. Chen, “A Permanent Optical Storage Medium Exhibiting Ultrahigh Contrast, Superior Stability, and A Broad Working Wavelength Regime” Physical Chemistry Chemical Physics (SCI, IF=3.829), 2011, 13, 5747-5752
  • Y. C. Chen, P. Y. Su, S. C. Tseng, Y. C. Lee, H. L. Chen, “Preparing wafer-scale omnidirectional broadband light-harvesting nanostructures in a few seconds” Journal of Materials Chemistry A (SCI, IF=6.101), (2014 Accepted)
  • K. T. Lin, S. C. Tseng, H. L. Chen, Y. S. Lai, S. H. Chen, Y. C. Tseng, T. W. Chu, M. Y. Lin, Y. P. Lu, “Ultrahigh-sensitivity CdS photoconductor with instant response and ultralow power consumption for detection in low-light environments” Journal of Materials Chemistry B (SCI, IF=6.101), 2013, 1, 4244-4251, (本論文並獲選為當期之封面Journal Cover)
  • W. Y. Tseng, S. H. Hsu, C. H. Huang, Y. C. Tu, S. C. Tseng, H. L. Chen, M. H. Chen, W. F. Su, L. D. Lin, “Low Pressure Radio-Frequency Oxygen Plasma Induced Oxidation of Titanium – Surface Characteristics and Biological Effects“PLOS ONE (SCI, IF=3.73), 2013, 8, Issue 12, e84898
  • Y. M. Chi, H. L. Chen, Y. S. Lai, H. M. Chang, Y. C. Liao, C. C. Cheng, S. H. Chen, S. C. Tseng, K. T. Lin “Optimizing surface plasmon resonance effects on finger electrodes to enhance the efficiency of silicon-based solar cells” Energy & Environmental Science (SCI, IF=11.65), 2013, 6, 935-942
  • C. C. Yu, K. H. Ho, H. L. Chen, S. Y. Chuang, S. C. Tseng, W. F. Su, “Using the Nanoimprint-in-Metal Method to Prepare Corrugated Metal Structures for Plasmonic Biosensors Through both Surface Plasmon Resonance and Index-Matching Effects” Biosensors and Bioelectronics (SCI, IF=5.437), 2012,33, 267-273
  • J. F. Lee, H. L. Chen, G. S. Lee, S. C. Tseng, M. H. Lin, W. B. Liau, “Photosensized Controlling Benzyl Methacrylate-Based Matrix Enhanced Eu3+ Narrow-Band Emission for Metal-Ion Chelating Applications” International Journal of Molecular Sciences (SCI, IF=2.464), 2012, 13, 3718-3737
  • Y. C. Lee, S. C. Tseng, H. L. Chen, C. C. Yu, W. L. Cheng, C. H. Du, C. H. Lin, “Using Autocloning Effects to Develop Broad-Bandwidth, Omnidirectional Antireflection Structures for Silicon Solar Cells” OPTICS EXPRESS (SCI, IF=3.546), 2010, VOl.18, 103, A421-431
  • D. Wan, H. L. Chen, S. C. Tseng, L. A. Wang, Y. P. Chen, “One-Shot Deep-UV Pulsed-Laser-Induced Photomodification of Hollow Metal Nanoparticles for High-Density Data Storage on Flexible Substrates” ACS NANO (SCI, IF=12.026), 2010, 4, 165-173
  • C. H. Lin, S. Chattopadhyay, C. W. Hsu, M. H. Wu, W. C. Chen, C. T. Wu, S. C. Tseng, J. S. Hwang, J. H. Lee, C. W. Chen, C. H. Chen, L. C. Chen, K. H. Chen, ”Enhanced Charge Separation by Sieve-Layer Mediation in High-Efficiency Inorganic-Organic Solar Cells” Advanced Materials (SCI, IF=14.829), 2009, 21, 759–763
  • Y. P. Hsieh, H. Y. Chen, M. Z. Lin, S. C. Shiu, M. Hofmann, M. Y. Chern, X. Jia, Y. J. Yang, H. J. Chang, H. M. Huang, S. C. Tseng, L. C. Chen, K. H. Chen, C. F. Lin, C. T. Liang, Y. F. Chen, “Electroluminescence from ZnO/Si-Nanotips Light-Emitting Diodes” NANO LETTERS (SCI, IF=13.025), 2009, Vol. 9, No. 5, 1839-1843
  • C. H. Lin, S. C. Tseng, Y. K. Liu, Y. Tai, S. Chattopadhyay, C. F. Lin, J. H. Lee, J. S. Hwang, Y. Y. Hsu, L. C. Chen, W. C. Chen, K. H. Chen, “Suppressing Series Resistance in Organic Solar Cells by Oxygen Plasma Treatment” APPLIED PHYSICS LETTERS (SCI, IF=3.794), 2008, 92, 233302
  • D. Y. Lyu, T. Y. Lin, J. H. Lin, S. C. Tseng, J. S. Hwang, H. P. Chiang, C. C. Chiang, S. M. Lan, “Growth and Properties of Single-Phase G-In2Se3 Thin Films on (1 1 1) Si Substrate by AP-MOCVD Using H2Se Precursor” Solar Energy Materials and Solar Cells (SCI, IF=4.63), 2007, 91, 888–891